全球FlexSim系统仿真中文论坛

搜索
查看: 8496|回复: 10
打印 上一主题 下一主题

基本单位

[复制链接]
1#
missman 发表于 2013-3-3 18:22:47 | 显示全部楼层
本帖最后由 missman 于 2013-3-4 08:48 编辑
/ v5 U5 g8 K, ~0 _" Q! {9 F& K/ m
每次打开的时候啊附件2
8 j. b1 Z1 o! M; B* H7 G如果打开的时候没有,也可以在打开后
2 ~7 e7 d% T; [% u% N文件---全局设置---环境
0 j0 q$ M# ^- v* W2 L% f附件18 x. R* }8 ?5 j. ~7 `$ M
希望能帮到你

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?立即注册

x
2#
missman 发表于 2013-3-4 08:47:01 | 显示全部楼层
本帖最后由 missman 于 2013-3-4 08:48 编辑 0 c) ]( k9 x/ r  E9 U7 {3 u
这是6.0的。在5.0里不一样。
9 ?/ \( T* b6 \7 S- r5 eDONGDAxxy 发表于 2013-3-4 08:38

0 [- h. N# _' W" o" I: Z" s不好意思啊,我没有装过5.0,很抱歉。
- H' y, w' L: ]2 n
. r8 A' Q& H' i& `# [! UBS:为什么不适用高版本啊?下载一个不久搞定了吗?
3#
missman 发表于 2013-3-4 11:13:03 | 显示全部楼层
难怪在帮助一个群友确认模型时,提醒我模型没有单位。他使用的是4.0版本。
您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

QQ|小黑屋|手机版|Archiver|全球FlexSim系统仿真中文论坛 ( 京ICP备14043114号-2 )

GMT+8, 2024-5-14 19:20 , Processed in 0.060385 second(s), 13 queries .

Powered by Discuz! X3.3© 2001-2013 Comsenz Inc.

快速回复 返回顶部 返回列表